Authors

Abstract

Satellite software is a kind of application that are exposed to soft-errors or transient faults because they work in an environment, which is full of radiations. This kind of fault has caused error in the execution of software. Satellite designers have used different methods, such as hardware shielding and component hardening, for removing the effects of this type of errors. These methods will increase the cost, weight and power consumption. Also, thesemethods decrease the performance. Recently, researches have shown that instead of spatial components,, COTS components can be used in LEO satellites. In addition, software implemented hardware fault tolerance (SIHFT) techniques can be added to these components for dealing with transient faults. In this paper, a branch of these techniques, which is called control flow based techniques, are investigated and the useful method among them is highlighted. The result of the implementation, testing and evaluation of the selected method (i.e. RSCFC) is also presented in this paper.

Keywords

  1. Croll, P. and Nixon, P., “Developing Safety-Critical Software within a CASE Environment,” Proceedings of the IEEE Colloquium on Computer Aided Software Engineering Tools for Real-Time Control, 1991, p. 8.
  2. Ignat, N., Nicolescu, B., Savaria, Y. and Nicolescu, G., “Soft-Error Classification and Impact Analysis on Real-Time Operating Systems,” Proceedings of the Conference on Design, Automation and Test in Europe (DATE’06), Germany,  2006, pp.182-187.
  3. Reis, G., Chang, J., Vachharajani N., Rangan R., August I., “SWIFT: Software Implemented Fault Tolerance”, Proceedings of the CGO’05, 2005, pp. 243-254.
  4. Baumann, R., “Soft Errors in Commercial Semiconductor Technology: Overview and Scaling Trends,” Proceedings of the IEEE Reliability Physics Tutorial Notes, Reliability Fundamentals, 2002, pp. 121-01.1–121-04.
  5. Shirvani, P.P., Oh, N., McCluskey, E.J., and Wood, D.L., “Software-Implemented Hardware Fault Tolerance Experiments COTS in Space,” Proceedings of the International Conference on Dependable Systems and Network, New York, NY, 25-28, 2000
  6. Yenier, U., Fault Tolerant Computing in Space Environment and Software Implemented Hardware Fault Tolerance Techniques, Technical Report, Department of Computer Engineering, Bosphorus University, Istanbul, 2003.
  7. Rebaudengo, M., Sonza Reorda, M., Torchiano, M., and Violante, M., “Soft-error Detection Through Software Fault-Tolerance Techniques”, Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Albuquerque, NM, USA, Nov 1999, pp. 210-218.
  8. Lisboa, C.A.L, Carro, L., Reorda, M., Violante, M., “Online Hardening of Programs Against SEUs and SETs,” Proceedings of the 21stInternational Symposium on Defect and Fault Tolerance in VLSI Systems, 2006.
  9. Reis, G., Chang, J., Vachharajani, N., Rangan, R., August and Shubhendu, S., “Design and Evaluation of Hybrid Fault-Detection System,” Proceedings of the 32nd IEEE International Symposium on Computer Architecture, Albuquerque, NM, USA, pp. 148-159, 2005.
  10. Jing, Y., Garzaran, M. J., and Snir, M., “Efficient Software Checking for Fault Tolerance,” Proceedings of the IEEE International Symposium on Parallel and Distributed Processing, Miami, FL, April 14-18, 2008, pp. 1-5.
  11. Horst, W., Harris, R. L. and Jardine, R. L., “Multiple Instruction Issue in the NonStop Cyclone Processor,” Proceedings of the 17th International Symposium on Computer Architecture, 1990, pp. 216–226.
  12. Mahmood, A. and McCluskey, E. J., “Concurrent Error Detection Using Watchdog Processors-A Survey,” IEEE Transactions on Computers, Vol. 37, No. 2, 1998, pp. 160-174.
  13. Namjaoo, M. and McCluskey, E. J., “Watchdog Processors and Capability Checking,” Proceedings of the 12th International Symposium on Fault-Tolerant Computing (FTCS-12), 1982, pp. 245-248.
  14. Randell, B., “System Structure for Software Fault Tolerant,” IEEE Transaction on Software Engineering, Vol. 1, No. 2, 1975, pp. 220-232.
  15. Avizienis A., “The N-Version Approach to Fault-Tolerant Software,” IEEE Transaction on Software Engineering, Vol. 11, No. 12, 1985, pp. 1491-1501.
  16. Stefanidis, V. K., and Margarits, K. J., “Algorithm Based Fault Tolerance: Review and Study,” Proceedings of the 2004 International Conference of Numerical Analysis and Applied Mathematics (ICNAAM'04), 2004, pp. 1-8
  17. Rebaudengo, M., Sonza Reorda, M., Torchiano, M. and Violante, M., “Soft-error Detection Through Software Fault-Tolerance Techniques”, Proceedingsof the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Albuquerque, NM, USA, Nov 1999, pp. 210-218.
  18. Oh, N. and McCluskey, E. J., “Low Energy Error Detection Technique using Procedure Call Duplication,” Proceedings of the 2001 International Symposium on Dependable Systems and Networks, 2001.
  19. Shirvani, P. P., Saxena, N. and McCluskey, E. J., “Software Implemented EDAC Protection against SEUs,” IEEE Transactions on Reliability, Vol. 49, No. 3, 2000, pp. 273-284.
  20. Rebaudengo, M., Sonza Reorda, M. and Violante, M., “A Source-to-Source Compiler for Generating Dependable Software,” Proceedings of the First IEEE International Workshop on Source Code Analysis and Manipulation, Florence, Italy, 2001, pp. 33-42.
  21. Benso, A., Di Stefano, C., Natale, G., Prinnetto, P. and Tagliaferri, L., “Control Flow Checking via Regular Expression,” Proceedings of the 10th Asian Test Symposium, Dipt. di Automatica e Informatica, Politecnico di Torino, 2001, pp. 299-303.
  22. Ziener, D. and Teich, J., “Concepts for Autonomous Control Flow Checking for Embedded CPUs,” Proceedings of the 5th International Conference on Autonomic and Trusted Computing, Lecture Notes in Computer Science, Vol. 5060, Springer-Verlag, 2008, pp.234-248.
  23. Alkhalifa, Z., Nair, V.S.S., Krishnamurthy, N. and Abraham, J. A., “Design and Evaluation of System-Level Checks for on-Line Control Flow Error Detection,” IEEE Transactionon Parallel and Distributed Systems, Vol. 10, No.6, 1999, pp. 627-641.
  24. Oh, N., Shirvani, P. P. and McCluskey, E. J., “Control-Flow Checking by Software Signatures,” IEEE Transactions on Reliability, Vol. 51, No. 1, 2002, pp. 111-122.
  25. Venkatasubramanian, R., Hayes, J. P. and Murray, B. T., “Low-Cost On-Line Fault Detection Using Control Flow Assertions,” Proceedingsof the 9th IEEE International On-Line Testing Symposium, July 2003, pp.137-143.
  26. Reis, G., Chang, J., Vachharajani, N., Rangan, R. and August I., “SWIFT: Software Implemented Fault Tolerance”, Proceeding of the CGO’05, 2005, pp. 243-254.
  27. Li, A. and Hong, B., “Software Implemented Transient Fault Detection in Space Computer,” Aerospace Science and Technology, Vol. 11, No. 2-3, 2007, pp. 245-252.